The precise patterning of front-side mesas, backside vias, and selective removal of ternary alloys are all needed for power device fabrication in the various wide bandgap (AlGaN/GaN, SiC) and ultrawide bandgap (high Al-content alloys, boron nitride, Ga2O3, diamond) semiconductor technologies. The plasma etching conditions used are generally ion-assisted because of the strong bond strengths in these materials, and this creates challenges for the choice of masks in order to have sufficient selectivity over the semiconductor and to avoid mask erosion and micromasking issues. It can also be challenging to achieve practical etch rates without creating excessive damage in the patterned surface. The authors review the optimum choices for plasma chemistries for each of the semiconductors and acknowledge the pioneering work of John Coburn, who first delineated the ion-assisted etch mechanism.
Vapor phase XeF{sub 2} has been used in the fabrication of various types of devices including MEMS, resonators, RF switches, and micro-fluidics, and for wafer level packaging. In this presentation we demonstrate the use of XeF{sub 2} Si etch in conjunction with deep reactive ion etch (DRIE) to release single crystal Si structures on Silicon On Insulator (SOI) wafers. XeF{sub 2} vapor phase etching is conducive to the release of movable SOI structures due to the isotropy of the etch, the high etch selectivity to silicon dioxide (SiO{sub 2}) and fluorocarbon (FC) polymer etch masks, and the ability to undercut large structures at high rates. Also, since XeF{sub 2} etching is a vapor phase process, stiction problems often associated with wet chemical release processes are avoided. Monolithic single crystal Si features were fabricated by etching continuous trenches in the device layer of an SOI wafer using a DRIE process optimized to stop on the buried SiO{sub 2}. The buried SiO{sub 2} was then etched to handle Si using an anisotropic plasma etch process. The sidewalls of the device Si features were then protected with a conformal passivation layer of either FC polymer or SiO{sub 2}. FC polymer was deposited from C4F8 gas precursor in an inductively coupled plasma reactor, and SiO{sub 2} was deposited by plasma enhanced chemical vapor deposition (PECVD). A relatively high ion energy, directional reactive ion etch (RIE) plasma was used to remove the passivation film on surfaces normal to the direction of the ions while leaving the sidewall passivation intact. After the bottom of the trench was cleared to the underlying Si handle wafer, XeF{sub 2} was used to isotropically etch the handle Si, thus undercutting and releasing the features patterned in the device Si layer. The released device Si structures were not etched by the XeF{sub 2} due to protection from the top SiO{sub 2} mask, sidewall passivation, and the buried SiO{sub 2} layer. Optimization of the XeF{sub 2} process and the sidewall passivation layers will be discussed. The advantages of releasing SOI devices with XeF{sub 2} include avoiding stiction, maintaining the integrity of the buried SiO{sub 2}, and simplifying the fabrication flow for thermally actuated devices.
Using a two-step method of plasma and wet chemical etching, we demonstrate smooth, vertical facets for use in Al{sub x} Ga{sub 1-x} N-based deep-ultraviolet laser-diode heterostructures where x = 0 to 0.5. Optimization of plasma-etching conditions included increasing both temperature and radiofrequency (RF) power to achieve a facet angle of 5 deg from vertical. Subsequent etching in AZ400K developer was investigated to reduce the facet surface roughness and improve facet verticality. The resulting combined processes produced improved facet sidewalls with an average angle of 0.7 deg from vertical and less than 2-nm root-mean-square (RMS) roughness, yielding an estimated reflectivity greater than 95% of that of a perfectly smooth and vertical facet.
GaN-based microwave power amplifiers have been identified as critical components in Sandia's next generation micro-Synthetic-Aperture-Radar (SAR) operating at X-band and Ku-band (10-18 GHz). To miniaturize SAR, GaN-based amplifiers are necessary to replace bulky traveling wave tubes. Specifically, for micro-SAR development, highly reliable GaN high electron mobility transistors (HEMTs), which have delivered a factor of 10 times improvement in power performance compared to GaAs, need to be developed. Despite the great promise of GaN HEMTs, problems associated with nitride materials growth currently limit gain, linearity, power-added-efficiency, reproducibility, and reliability. These material quality issues are primarily due to heteroepitaxial growth of GaN on lattice mismatched substrates. Because SiC provides the best lattice match and thermal conductivity, SiC is currently the substrate of choice for GaN-based microwave amplifiers. Obviously for GaN-based HEMTs to fully realize their tremendous promise, several challenges related to GaN heteroepitaxy on SiC must be solved. For this LDRD, we conducted a concerted effort to resolve materials issues through in-depth research on GaN/AlGaN growth on SiC. Repeatable growth processes were developed which enabled basic studies of these device layers as well as full fabrication of microwave amplifiers. Detailed studies of the GaN and AlGaN growth of SiC were conducted and techniques to measure the structural and electrical properties of the layers were developed. Problems that limit device performance were investigated, including electron traps, dislocations, the quality of semi-insulating GaN, the GaN/AlGaN interface roughness, and surface pinning of the AlGaN gate. Surface charge was reduced by developing silicon nitride passivation. Constant feedback between material properties, physical understanding, and device performance enabled rapid progress which eventually led to the successful fabrication of state of the art HEMT transistors and amplifiers.
Experimental studies have been performed on the velocity-field characteristics of AlGaN/GaN heterostructures. A pulsed voltage input in combination with a four-point measurement was used in a 50 {Omega} environment to determinethe drift velocity of electrons in the two-dimensional electron gas as a function of the applied electric field. These measurements show an apparent saturation velocity near 3.1 x 10{sub 7} cm/s, at a field of 140 kV/cm. A comparison of these studies shows that the experimental velocities are close to previously published simulations based upon Monte Carlo techniques.
The goal of this one year LDRD was to improve the overall efficiency of InGaN LEDs by improving the extraction of light from the semiconductor chip. InGaN LEDs are currently the most promising technology for producing high efficiency blue and green semiconductor light emitters. Improving the efficiency of InGaN LEDs will enable a more rapid adoption of semiconductor based lighting. In this LDRD, we proposed to develop photonic structures to improve light extraction from nitride-based light emitting diodes (LEDs). While many advanced device geometries were considered for this work, we focused on the use of a photonic crystal for improved light extraction. Although resonant cavity LEDs and other advanced structures certainly have the potential to improve light extraction, the photonic crystal approach showed the most promise in the early stages of this short program. The photonic crystal (PX)-LED developed here incorporates a two dimensional photonic crystal, or photonic lattice, into a nitride-based LED. The dimensions of the photonic crystal are selected such that there are very few or no optical modes in the plane of the LED ('lateral' modes). This will reduce or eliminate any radiation in the lateral direction so that the majority of the LED radiation will be in vertical modes that escape the semiconductor, which will improve the light-extraction efficiency. PX-LEDs were fabricated using a range of hole diameters and lattice constants and compared to control LEDs without a photonic crystal. The far field patterns from the PX-LEDs were dramatically modified by the presence of the photonic crystal. An increase in LED brightness of 1.75X was observed for light measured into a 40 degree emission cone with a total increase in power of 1.5X for an unencapsulated LED.
This report represents the completion of a Laboratory-Directed Research and Development (LDRD) program to develop and fabricate geometric test structures for the measurement of transport properties in bulk GaN and AlGaN/GaN heterostructures. A large part of this study was spent examining fabrication issues related to the test structures used in these measurements, due to the fact that GaN processing is still in its infancy. One such issue had to do with surface passivation. Test samples without a surface passivation, often failed at electric fields below 50 kV/cm, due to surface breakdown. A silicon nitride passivation layer of approximately 200 nm was used to reduce the effects of surface states and premature surface breakdown. Another issue was finding quality contacts for the material, especially in the case of the AlGaN/GaN heterostructure samples. Poor contact performance in the heterostructures plagued the test structures with lower than expected velocities due to carrier injection from the contacts themselves. Using a titanium-rich ohmic contact reduced the contact resistance and stopped the carrier injection. The final test structures had an etch constriction with varying lengths and widths (8x2, 10x3, 12x3, 12x4, 15x5, and 16x4 {micro}m) and massive contacts. A pulsed voltage input and a four-point measurement in a 50 {Omega} environment was used to determine the current through and the voltage dropped across the constriction. From these measurements, the drift velocity as a function of the applied electric field was calculated and thus, the velocity-field characteristics in n-type bulk GaN and AlGaN/GaN test structures were determined. These measurements show an apparent saturation velocity near to 2.5x10{sup 7} cm/s at 180 kV/cm and 3.1x10{sup 7} cm/s, at a field of 140 kV/cm, for the bulk GaN and AlGaN heterostructure samples, respectively. These experimental drift velocities mark the highest velocities measured in these materials to date and confirm the predictions of previous theoretical models using ensemble Monte Carlo simulations.
This SAND report is the final report on Sandia's Grand Challenge LDRD Project 27328, 'A Revolution in Lighting -- Building the Science and Technology Base for Ultra-Efficient Solid-state Lighting.' This project, which for brevity we refer to as the SSL GCLDRD, is considered one of Sandia's most successful GCLDRDs. As a result, this report reviews not only technical highlights, but also the genesis of the idea for Solid-state Lighting (SSL), the initiation of the SSL GCLDRD, and the goals, scope, success metrics, and evolution of the SSL GCLDRD over the course of its life. One way in which the SSL GCLDRD was different from other GCLDRDs was that it coincided with a larger effort by the SSL community - primarily industrial companies investing in SSL, but also universities, trade organizations, and other Department of Energy (DOE) national laboratories - to support a national initiative in SSL R&D. Sandia was a major player in publicizing the tremendous energy savings potential of SSL, and in helping to develop, unify and support community consensus for such an initiative. Hence, our activities in this area, discussed in Chapter 6, were substantial: white papers; SSL technology workshops and roadmaps; support for the Optoelectronics Industry Development Association (OIDA), DOE and Senator Bingaman's office; extensive public relations and media activities; and a worldwide SSL community website. Many science and technology advances and breakthroughs were also enabled under this GCLDRD, resulting in: 55 publications; 124 presentations; 10 book chapters and reports; 5 U.S. patent applications including 1 already issued; and 14 patent disclosures not yet applied for. Twenty-six invited talks were given, at prestigious venues such as the American Physical Society Meeting, the Materials Research Society Meeting, the AVS International Symposium, and the Electrochemical Society Meeting. This report contains a summary of these science and technology advances and breakthroughs, with Chapters 1-5 devoted to the five technical task areas: 1 Fundamental Materials Physics; 2 111-Nitride Growth Chemistry and Substrate Physics; 3 111-Nitride MOCVD Reactor Design and In-Situ Monitoring; 4 Advanced Light-Emitting Devices; and 5 Phosphors and Encapsulants. Chapter 7 (Appendix A) contains a listing of publications, presentations, and patents. Finally, the SSL GCLDRD resulted in numerous actual and pending follow-on programs for Sandia, including multiple grants from DOE and the Defense Advanced Research Projects Agency (DARPA), and Cooperative Research and Development Agreements (CRADAs) with SSL companies. Many of these follow-on programs arose out of contacts developed through our External Advisory Committee (EAC). In h s and other ways, the EAC played a very important role. Chapter 8 (Appendix B) contains the full (unedited) text of the EAC reviews that were held periodically during the course of the project.
AlGaN/GaN test structures were fabricated with an etched constriction. A nitrogen plasma treatment was used to remove the disordered layer, including natural oxides on the AlGaN surface, before the growth of the silicon nitride passivation film on several of the test structures. A pulsed voltage input, with a 200 ns pulse width, and a four-point measurement were used in a 50 {Omega} environment to determine the room temperature velocity-field characteristic of the structures. The samples performed similarly over low fields, giving a low-field mobility of 545 cm{sup 2} V{sup -1} s{sup -1}. The surface treated sample performed slightly better at higher fields than the untreated sample. The highest velocity measured was 1.25 x 10{sup 7} cm s{sup -1} at a field of 26 kV cm{sup -1}.
Sandia National Labs has developed an autonomous, hand-held system for sensitive/selective detection of gas-phase chemicals. Through the sequential connection of microfabricated preconcentrators (PC), gas chromatography columns (GC) and a surface acoustic wave (SAW) detector arrays, the MicroChemLab{trademark} system is capable of selective and sensitive chemical detection in real-world environments. To date, interconnection of these key components has primarily been achieved in a hybrid fashion on a circuit board modified to include fluidic connections. The monolithic integration of the PC and GC with a silicon-based acoustic detector is the subject of this work.
Retinal prosthesis projects around the world have been pursuing a functional replacement system for patients with retinal degeneration. In this paper, the concept for a micromachined conformal electrode array is outlined. Individual electrodes are designed to float on micromachined springs on a substrate that will enable the adjustment of spring constants-and therefore contact force-by adjusting the dimensions of the springs at each electrode. This also allows the accommodation of the varying curvature/topography of the retina. We believe that this approach provides several advantages by improving the electrode/tissue interface as well as generating some new options for in-situ measurements and overall system design.
The design, fabrication, and performance of a planar microbattery made from a silicon wafer with a bonded lid are presented. The battery is designed with two compartments, separated by four columns of micro-posts. These posts are 3 or 5 micrometers in diameter. The posts permit transport of liquid electrolyte, but stop particles of battery material from each compartment from mixing. The anode and cathode battery compartments, the posts, fill holes, and conductive vias are all made using high-aspect-ratio reactive ion (Bosch) etching. After the silicon wafer is completed, it is anodically bonded or adhesive bonded to a Pyrex{reg_sign} wafer lid. The battery materials are made from micro-disperse particles that are 3-5 micrometers in diameter. The lithium-ion chemistry is microcarbon mesobeads and lithium cobalt oxide. The battery capacity is 1.83 micro-amp-hrs/cm{sup 2} at a discharge rate of 25 microamps.
This report describes a new microsystems technology for the creation of microsensors and microelectromechanical systems (MEMS) using stress-free amorphous diamond (aD) films. Stress-free aD is a new material that has mechanical properties close to that of crystalline diamond, and the material is particularly promising for the development of high sensitivity microsensors and rugged and reliable MEMS. Some of the unique properties of aD include the ability to easily tailor film stress from compressive to slightly tensile, hardness and stiffness 80-90% that of crystalline diamond, very high wear resistance, a hydrophobic surface, extreme chemical inertness, chemical compatibility with silicon, controllable electrical conductivity from insulating to conducting, and biocompatibility. A variety of MEMS structures were fabricated from this material and evaluated. These structures included electrostatically-actuated comb drives, micro-tensile test structures, singly- and doubly-clamped beams, and friction and wear test structures. It was found that surface micromachined MEMS could be fabricated in this material easily and that the hydrophobic surface of the film enabled the release of structures without the need for special drying procedures or the use of applied hydrophobic coatings. Measurements using these structures revealed that aD has a Young's modulus of {approx}650 GPa, a tensile fracture strength of 8 GPa, and a fracture toughness of 8 MPa{center_dot}m {sup 1/2}. These results suggest that this material may be suitable in applications where stiction or wear is an issue. Flexural plate wave (FPW) microsensors were also fabricated from aD. These devices use membranes of aD as thin as {approx}100 nm. The performance of the aD FPW sensors was evaluated for the detection of volatile organic compounds using ethyl cellulose as the sensor coating. For comparable membrane thicknesses, the aD sensors showed better performance than silicon nitride based sensors. Greater than one order of magnitude increase in chemical sensitivity is expected through the use of ultra-thin aD membranes in the FPW sensor. The discoveries and development of the aD microsystems technology that were made in this project have led to new research projects in the areas of aD bioMEMS and aD radio frequency MEMS.
The magnetically excited flexural plate wave (mag-FPW) device has great promise as a versatile sensor platform. FPW's can have better sensitivity at lower operating frequencies than surface acoustic wave (SAW) devices. Lower operating frequency (< 1 MHz for the FPW versus several hundred MHz to a few GHz for the SAW device) simplifies the control electronics and makes integration of sensor with electronics easier. Magnetic rather than piezoelectric excitation of the FPW greatly simplifies the device structure and processing by eliminating the need for piezoelectric thin films, also simplifying integration issues. The versatile mag-FPW resonator structure can potentially be configured to fulfill a number of critical functions in an autonomous sensored system. As a physical sensor, the device can be extremely sensitive to temperature, fluid flow, strain, acceleration and vibration. By coating the membrane with self-assembled monolayers (SAMs), or polymer films with selective absorption properties (originally developed for SAW sensors), the mass sensitivity of the FPW allows it to be used as biological or chemical sensors. Yet another critical need in autonomous sensor systems is the ability to pump fluid. FPW structures can be configured as micro-pumps. This report describes work done to develop mag-FPW devices as physical, chemical, and acoustic sensors, and as micro-pumps for both liquid and gas-phase analytes to enable new integrated sensing platform.
This report represents the completion of a three-year Laboratory-Directed Research and Development (LDRD) program to investigate combining microelectromechanical systems (MEMS) with optoelectronic components as a means of realizing compact optomechanical subsystems. Some examples of possible applications are laser beam scanning, switching and routing and active focusing, spectral filtering or shattering of optical sources. The two technologies use dissimilar materials with significant compatibility problems for a common process line. This project emphasized a hybrid approach to integrating optoelectronics and MEMS. Significant progress was made in developing processing capabilities for adding optical function to MEMS components, such as metal mirror coatings and through-vias in the substrate. These processes were used to demonstrate two integration examples, a MEMS discriminator driven by laser illuminated photovoltaic cells and a MEMS shutter or chopper. Another major difficulty with direct integration is providing the optical path for the MEMS components to interact with the light. The authors explored using folded optical paths in a transparent substrate to provide the interconnection route between the components of the system. The components can be surface-mounted by flip-chip bonding to the substrate. Micro-optics can be fabricated into the substrate to reflect and refocus the light so that it can propagate from one device to another and them be directed out of the substrate into free space. The MEMS components do not require the development of transparent optics and can be completely compatible with the current 5-level polysilicon process. They report progress on a MEMS-based laser scanner using these concepts.
The performance capabilities of Npn and Pnp AlGaN/GaN heterojunction bipolar transistors have been investigated by using a drift-diffusion transport model. Numerical results have been employed to study the effect of the p-type Mg doping and its incomplete ionization on device performance. The high base resistance induced by the deep acceptor level is found to be the cause of limited current gain values for Npn devices. Several computation approaches have been considered to improve their performance. Reasonable improvement of the DC current gain {beta} is observed by realistically reducing the base thickness in accordance with processing limitations. Base transport enhancement is also predicted by the introduction of a quasi-electric field in the base. The impact of the base resistivity on high-frequency characteristics is investigated for Npn AlGaN/GaN devices. Optimized predictions with maximum oscillation frequency value as high as f{sub MAX} = 20 GHz and a unilateral power gain--U = 25 dB make this bipolar GaN-based technology compatible with communication applications. Simulation results reveal that the restricted amount of free carriers from the p-doped emitter limits Pnp's DC performances operating in common emitter configuration. A preliminary analysis of r.f. characteristics for the Pnp counterpart indicates limited performance mainly caused by the degraded hole mobility.
In this paper, we overview several of the critical materials growth, design and performance issues for nitride-based UV (<400 nm) LEDs. The critical issue of optical efficiency is presented through temperature-dependent photoluminescence studies of various UV active regions. These studies demonstrate enhanced optical efficiencies for active regions with In-containing alloys (InGaN, AlInGaN). We discuss the trade-off between the challenging growth of high Al containing alloys (AlGaN, AlGaInN), and the need for sufficient carrier confinement in UV heterostructures. Carrier leakage for various composition AlGaN barriers is examined through a calculation of the total unconfined carrier density in the quantum well system. We compare the performance of two distinct UV LED structures: GaN/AlGaN quantum well LEDs for λ<360 nm emission, and InGaN/AlGaInN quantum well LEDs for 370 nm<λ<390 nm emission.
Junction field effect transistors (JFET) were fabricated on a GaN epitaxial structure grown by metal organic chemical vapor deposition. The DC and microwave characteristics, as well as the high temperature performance of the devices were studied. These devices exhibited excellent pinch-off and a breakdown voltage that agreed with theoretical predictions. An extrinsic transconductance (gm) of 48 mS/mm was obtained with a maximum drain current (ID) of 270 mA/mm. The microwave measurement showed an fT of 6 GHz and an fmax of 12 GHz. Both the ID and the gm were found to decrease with increasing temperature, possibly due to lower electron mobility at elevated temperatures. These JFETs exhibited a significant current reduction after a high drain bias was applied, which was attributed to a partially depleted channel caused by trapped electrons in the semi-insulating GaN buffer layer.
The LDRD entitled ``Role of Defects in III-Nitride Based Devices'' is aimed to place Sandia National Laboratory at the forefront of the field of GaN materials and devices by establishing a scientific foundation in areas such as material growth, defect characterization/modeling, and processing (metalization and etching) chemistry. In this SAND report the authors summarize their studies such as (1) the MOCVD growth and doping of GaN and AlGaN, (2) the characterization and modeling of hydrogen in GaN, including its bonding, diffusion, and activation behaviors, (3) the calculation of energetic of various defects including planar stacking faults, threading dislocations, and point defects in GaN, and (4) dry etching (plasma etching) of GaN (n- and p-types) and AlGaN. The result of the first AlGaN/GaN heterojunction bipolar transistor is also presented.
Silicon (Si) has a strength to density ratio of 3.0({sigma}{sub y}/{delta}=(6.8GPa/2.3g/cc)), an order-of-magnitude higher than titanium, aluminum, or stainless steel. Silicon also demonstrates favorable thermal, optical, and electrical properties making it ideal for use as a structural foundation for autonomous, mesoscopic systems such as nanosatellites. Using Si substrates, a structure that can simultaneously act as a thermal management system, a radiation shield, an optical material, a package, and a semiconductor substrate can be realized.
Plasma-induced etch damage can degrade the electrical and optical performance of III-V nitride electronic and photonic devices. We have investigated the etch-induced damage of an Inductively Coupled Plasma (ICP) etch system on the electrical performance of mesa-isolated GaN pn-junction diodes. GaN p-i-n mesa diodes were formed by Cl{sub 2}/BCl{sub 3}/Ar ICP etching under different plasma conditions. The reverse leakage current in the mesa diodes showed a strong relationship to chamber pressure, ion energy, and plasma flux. Plasma induced damage was minimized at moderate flux conditions ({le} 500 W), pressures {ge}2 mTorr, and at ion energies below approximately -275 V.
We are developing a method of constructing compact, three-dimensional photonics systems consisting of optical elements, e.g., lenses and mirrors, photo-detectors, and light sources, e.g., VCSELS or circular-grating lasers. These optical components, both active and passive, are mounted on a lithographically prepared silicon substrate. We refer to the substrate as a micro-optical table (MOT) in analogy with the macroscopic version routinely used in optics laboratories. The MOT is a zero-alignment, microscopic optical-system concept. The position of each optical element relative to other optical elements on the MOT is determined in the layout of the MOT photomask. Each optical element fits into a slot etched in the silicon MOT. The slots are etched using a high-aspect-ratio silicon etching (HARSE) process. Additional positioning features in each slot's cross-section and complementary features on each optical element permit accurate placement of that element's aperture relative to the MOT substrate. In this paper we present the results of the first fabrication and micro-assembly experiments of a silicon-wafer based MOT. Based on these experiments, estimates of position accuracy are reported. We also report on progress in fabrication of lens elements in a hybrid sol-gel material (HSGM). Diffractive optical elements have been patterned in a 13-micron thick HSGM layer on a 150-micron thick soda-lime glass substrate. The measured ms surface roughness was 20 nm. Finally, we describe modeling of MOT systems using non-sequential ray tracing (NSRT).
GaN Schottky diodes were exposed to N2 or H2 Inductively Coupled Plasmas prior to deposition of the rectifying contact. Subsequent annealing, wet photochemical etching or (NH4)2S surface passivation treatments were examined for their effect on diode current- voltage characteristics. We found that either annealing at 750 °C under N2, or removal of ~500-600 Å of the surface essentially restored the initial I-V characteristics. There was no measurable improvement in the plasma-exposed diode behavior with (NH4)2S treatments.
The effect of Inductively Coupled Plasma H{sub 2} or Ar discharges on the breakdown voltage of p-GaN diodes was measured over a range of ion energies and fluxes. The main effect of plasma exposure is a decrease in net acceptor concentration to depths of 400-550{angstrom}. At high ion fluxes or energies there can be type conversion of the initially p-GaN surface. Post etch annealing at 900 C restores the initial conductivity.
Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias andlor high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN.
Four different F{sub 2}-based gases (SF{sub 6}, NF{sub 3}, PF{sub 5}, and BF{sub 3}) were examined for high rate Inductively Coupled Plasma etching of Si. Etch rates up to {approximately}8 {micro}m/min were achieved with pure SF{sub 6} discharges at high source power (1500W) and pressure (35mTorr). A direct comparison of the four feedstock gases under the same plasma conditions showed the Si etch rate to increase in the order BF{sub 3} < NF{sub 3} < PF{sub 5} < SF{sub 6}. This is in good correlation with the average bond energies of the gases, except for NF{sub 3}, which is the least strongly bound. Optical emission spectroscopy showed that the ICP source efficiently dissociated NF{sub 3}, but the etched Si surface morphologies were significantly worse with this gas than with the other 3 gases.
The role of additive noble gases He, Ar and Xe to C&based Inductively Coupled Plasmas for etching of GaN, AIN and InN were examined. The etch rates were a strong function of chlorine concentration, rf chuck power and ICP source power. The highest etch rates for InN were obtained with C12/Xe, while the highest rates for AIN and GaN were obtained with C12/He. Efficient breaking of the 111-nitrogen bond is crucial for attaining high etch rates. The InN etching was dominated by physical sputtering, in contrast to GaN and AIN. In the latter cases, the etch rates were limited by initial breaking of the III-nitrogen bond. Maximum selectivities of -80 for InN to GaN and InN to AIN were obtained.
Sputter-deposited W-based contacts on p-GaN (N{sub A} {approximately} 10{sup 18} cm{sup {minus}3}) display non-ohmic behavior independent of annealing temperature when measured at 25 C. The transition to ohmic behavior occurs above {approximately} 250 C as more of the acceptors become ionized. The optimum annealing temperature is {approximately} 700 C under these conditions. These contacts are much more thermally stable than the conventional Ni/Au metallization, which shows a severely degraded morphology even at 700 C. W-based contacts may be ohmic as-deposited on very heavily doped n-GaN, and the specific contact resistance improves with annealing up to {approximately} 900 C.
GaN implanted with donor(Si, S, Se, Te) or acceptor (Be, Mg, C) species was annealed at 900-1500°C using AlN encapsulation. No redistribution was measured by SIMS for any of the dopants and effective diffusion coefficients are ≤2×10-13 cm2 · s-1 at 1400°C, except Be, which displays damage-enhanced diffusion at 900°C and is immobile once the point defect concentration is removed. Activation efficiency of ∼90% is obtained for Si at 1400°C. TEM of the implanted material shows a strong reduction in lattice disorder at 1400-1500°C compared to previous results at 1100°C. There is minimal interaction of the sputtered AlN with GaN under our conditions, and it is readily removed selectively with KOH.
Proton implantation in GaN is found to reduce the free carrier density through two mechanisms - first, by creating electron and hole traps at around Ec-0.8eV and Ev+0.9eV that lead to compensation in both n- and p-type material, and second, by leading to formation of (AH)O complexes, where A is any acceptor (Mg, Ca, Zn, Be, Cd). The former mechanism is usefid in creating high resistivity regions for device isolation, whereas the latter produces unintentional acceptor passivation that is detrimental to device performance. The strong affinity of hydrogen for acceptors leads to markedly different redistribution behavior for implanted in n- and p-GaN due to the chemical reaction to form neutral complexes in the latter. The acceptors may be reactivated by simple annealing at 2600{degrees}C, or by electron injection at 25-150{degrees}C that produces debonding of the (AH) centers. Implanted hydrogen is also strongly attracted to regions of strain in heterostructure samples during annealing, leading to pile-up at epi-epi and epi-substrate interfaces. II? spectroscopy shows that implanted hydrogen also decorates VG, defects in undoped and n-GaN.
The role of the inert gas additive (He, Ar, Xe) to C12 Inductively Coupled Plasmas for dry etching of GaAs and GaSb was examined through the effect on etch rate, surface roughness and near-surface stoichiometry. The etch rates for both materials go through a maximum with Clz 0/0 in each type of discharge (C12/'He, C12/Ar, C12/Xc), reflecting the need to have efficient ion-assisted resorption of the etch products. Etch yields initially increase strongly with source power as the chlorine neutral density increases, but decrease again at high powers as the etching becomes reactant-limited. The etched surfaces are generally smoother with Ax or Xe addition, and maintain their stoichiometry.
The effects of the additive noble gases He, Ar and Xe on chlorine-based Inductively Coupled Plasma etching of InP, InSb, InGaP and InGaAs were studied as a function of source power, chuck power and discharge composition. The etch rates of all materials with C12/He and C12/Xe are greater than with C12/Ar. Etch rates in excess of 4.8 pndmin for InP and InSb with C12/He or C12/Xe, 0.9 pndmin for InGaP with C12/Xe, and 3.8 prdmin for InGaAs with Clz/Xe were obtained at 750 W ICP power, 250 W rf power, - 1570 C12 and 5 mTorr. All three plasma chemistries produced smooth morphologies for the etched InGaP surfaces, while the etched surface of InP showed rough morphology under all conditions.
Patterning the group-IH nitrides has been challenging due to their strong bond energies and relatively inert chemical nature as compared to other compound semiconductors. Plasma etch processes have been used almost exclusively to pattern these films. The use of high-density plasma etch systems, including inductively coupled plasmas (ICP), has resulted in relatively high etch rates (often greater than 1.0 pmhnin) with anisotropic profiles and smooth etch morphologies. However, the etch mechanism is often dominated by high ion bombardment energies which can minimize etch selectivity. The use of an ICP-generated BCl~/C12 pkyma has yielded a highly versatile GaN etch process with rates ranging from 100 to 8000 A/rnin making this plasma chemistry a prime candidate for optimization of etch selectivity. In this study, we will report ICP etch rates and selectivities for GaN, AIN, and InN as a function of BCl~/Clz flow ratios, cathode rf-power, and ICP-source power. GaN:InN and GaN:AIN etch selectivities were typically less than 7:1 and showed the strongest dependence on flow ratio. This trend maybe attributed to faster GaN etch rates observed at higher concentrations of atomic Cl which was monitored using optical emission spectroscopy (OES). ~E~~~~f:~ INTRODUCTION DEC j 4898 Etch selectivi
BC13, with addition of Nz, Ar or Hz, is found to provide smooth anisotropic pattern transfer in GaAs, GaN, GaP, GaSb and AIGriAs under Inductively Coupled Plasma conditions, Maxima in the etch rates for these materials are observed at 33% N2 or 87$'40 Hz (by flow) addition to BC13, whereas Ar addition does not show this behavior. Maximum etch rates are typically much higher for GaAs, Gap, GaSb and AIGaAs (-1,2 @rein) than for GaN (-0.3 ymu'min) due to the higher bond energies of the iatter. The rates decrease at higher pressure, saturate with source power (ion flux) and tend to show maxima with chuck power (ion energy). The etched surfaces remain stoichiometric over abroad range of plasma conditions.
A parametric study of etch rates and surface morphologies of In-containing compound semiconductors (InP, InGaAs, InGaAsP, InAs and AlInAs) obtained by BClj-based Inductively Coupled Plasmas is reported. Etch rates in the range 1,500-3,000 &min. are obtained for all the materials at moderate source powers (500 W), with the rates being a strong function of discharge composition, rf chuck power and pressure. Typical root-mean-square surface roughness of-5 nm were obtained for InP, which is worse than the values obtained for Ga-based materials under the same conditions (-1 run). The near surface of etched samples is typically slightly deficient in the group V element, but the depth of this deficiency is small (a few tens of angstroms).
A parametric study of the etch characteristics of GaN, AIN and InN has been earned out with IC1/Ar and IBr/Ar chemistries in an Inductively Coupled Plasma discharge. The etch rates of InN and AIN were relatively independent of plasma composition, while GaN showed increased etch rates with interhalogen concentration. Etch rates for all materials increased with increasing rf chuck power, indicating that higher ion bombardment energies are more efficient in enhancing sputter resorption of etch products. The etch rates increased for source powers up to 500 W and remained relatively thereafter for all materials, while GaN and InN showed maximum etch rates with increasing pressure. The etched GaN showed extremely smooth surfaces, which were somewhat better with IBr/Ar than with IC1/Ar. Maximum selectivities of- 14 for InN over GaN and >25 for InN over AIN were obtained with both chemistries.
High density plasma etching of GaAs, GaSb and AIGaAs was performed in IC1/Ar and lBr/Ar chemistries using an Inductively Coupled Plasma (ICP) source. GaSb and AlGaAs showed maxima in their etch rates for both plasma chemistries as a function of interhalogen percentage, while GaAs showed increased etch rates with plasma composition in both chemistries. Etch rates of all materials increased substantially with increasing rf chuck power, but rapidly decreased with chamber pressure. Selectivities > 10 for GaAs and GaSb over AlGaAs were obtained in both chemistries. The etched surfaces of GaAs showed smooth morphology, which were somewhat better with IC1/Ar than with IBr/& discharge. Auger Electron Spectroscopy analysis revealed equi-rate of removal of group III and V components or the corresponding etch products, maintaining the stoichiometry of the etched surface.
A parametric study of Inductively Coupled Plasma etching of InP, InSb, InGaP and InGaAs has been carried out in IC1/Ar and IBr/Ar chemistries. Etch rates in excess of 3.1 prrdmin for InP, 3.6 prnh-nin for InSb, 2.3 pm/min for InGaP and 2.2 ~rrdmin for InGaAs were obtained in IBr/Ar plasmas. The ICP etching of In-based materials showed a general tendency: the etch rates increased substantially with increasing the ICP source power and rf chuck power in both chemistries, while they decreased with increasing chamber pressure. The IBr/Ar chemistry typically showed higher etch rates than IC1/Ar, but the etched surface mophologies were fairly poor for both chemistries.
The etch rate of GaN under W-assisted photoelectrochemical conditions in KOH solutions is found to be a strong function of illumination intensity, solution molarity, sample bias and material doping level. At low e-h pair generation rates, grain boundaries are selectively etched, while at higher illumination intensities etch rates for unintentionally doped (n - 3x 10^12Gcm-3) GaN are 2 1000 .min-l. The etching is diffusion limited under our conditions with an activation energy of - 0.8kCal.mol-1. The etched surfaces are rough, but retain their stoichiometry. PEC etching is found to selectively reveal grain boundaries in GaN under low light illumination conditions. At high lamp powers the rates increase with sample temperature and the application of bias to the PEC cell, while they go through a maximum with KOH solution molarity. The etching is diffusion-limited, producing rough surface morphologies that are suitable in a limited number of device fabrication steps. The surfaces however appear to remain relatively close to their stoichiometric composition.
A variety of different plasma chemistries, including SF6, Cl2, IC1 and IBr, have been examined for dry etching of 6H-SiC in high ion density plasma tools (Inductively Coupled Plasma and Electron Cyclotron Resonance). Rates up to 4,500~"min-1 were obtained for SF6 plasmas, while much lower rates (S800~.min-') were achieved with Cl2, ICl and IBr. The F2- based chemistries have poor selectivity for SiC over photoresist masks (typically 0.4-0.5), but Ni masks are more robust, and allow etch depths 210pm in the SiC. A micromachining process (sequential etch/deposition (<2,000Angstrom min-1) for SiC steps) designed for Si produces relatively low etch rates.
A systematic study of the etch characteristics of GaN, AlN and InN has been performed with boron halides- (BI{sub 3} and BBr{sub 3}) and interhalogen- (ICl and IBr) based Inductively Coupled Plasmas. Maximum etch selectivities of -100:1 were achieved for InN over both GaN and AlN in the BI{sub 3} mixtures due to the relatively high volatility of the InN etch products and the lower bond strength of InN. Maximum selectivies of- 14 for InN over GaN and >25 for InN over AlN were obtained with ICl and IBr chemistries. The etched surface morphologies of GaN in these four mixtures are similar or better than those of the control sample.
The role of extended and point defects, and key impurities such as C, O and H, on the electrical and optical properties of GaN is reviewed. Recent progress in the development of high reliability contacts, thermal processing, dry and wet etching techniques, implantation doping and isolation and gate insulator technology is detailed. Finally, the performance of GaN-based electronic and photonic devices such as field effect transistors, UV detectors, laser diodes and light-emitting diodes is covered, along with the influence of process-induced or grown-in defects and impurities on the device physics.
GaAs-based metal semiconductor field effect transistors (MESFETS), heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs) have been exposed to ECR SiJ&/NH3 discharges for deposition of SiNX passivating layers. The effect of source power, rf chuck power, pressure and plasma composition have been investigated. Effects due to both ion damage and hydrogenation of dopants are observed. For both HEMTs and MESFETS there are no conditions where substantial increases in channel sheet resistivity are not observed, due primarily to (Si-H)O complex formation. In HBTs the carbon-doped base layer is the most susceptible layer to hydrogenation. Ion damage in all three devices is minimized at low rf chuck power, moderate ECR source power and high deposition rates.
W and WSi ohmic contacts on both p- and n-type GaN have been annealed at temperatures from 300-1000 *C. There is minimal reaction (< 100 ~ broadening of the metal/GaN interface) even at 1000 *C. Specific contact resistances in the 10-5 f2-cm2 range are obtained for WSiX on Si-implanted GaN with a peak doping concentration of- 5 x 1020 cm-3, after annealing at 950 `C. On p-GaN, leaky Schottky diode behavior is observed for W, WSiX and Ni/Au contacts at room temperature, but true ohmic characteristics are obtained at 250 - 300 *C, where the specific contact resistances are typically in the 10-2 K2-cm2 range. The best contacts for W and WSiX are obtained after 700 *C annealing for periods of 30- 120 sees. The formation of &WzN interracial phases appear to be important in determining the contact quality.
Deep high-aspect ratio Si etching (HARSE) has shown potential application for passive self-alignment of dissimilar materials and devices on Si carriers or waferboards. The Si can be etched to specific depths and; lateral dimensions to accurately place or locate discrete components (i.e lasers, photodetectors, and fiber optics) on a Si carrier. It is critical to develop processes which maintain the dimensions of the mask, yield highly anisotropic profiles for deep features, and maintain the anisotropy at the base of the etched feature. In this paper the authors report process conditions for HARSE which yield etch rates exceeding 3 {micro}m/min and well controlled, highly anisotropic etch profiles. Examples for potential application to advanced packaging technologies will also be shown.
GaN etching can be affected by a wide variety of parameters including plasma chemistry and plasma density. Chlorine-based plasmas have been the most widely used plasma chemistries to etch GaN due to the high volatility of the GaCl{sub 3} and NCl etch products. The source of Cl and the addition of secondary gases can dramatically influence the etch characteristics primarily due to their effect on the concentration of reactive Cl generated in the plasma. In addition, high-density plasma etch systems have yielded high quality etching of GaN due to plasma densities which are 2 to 4 orders of magnitude higher than reactive ion etch (RIE) plasma systems. The high plasma densities enhance the bond breaking efficiency of the GaN, the formation of volatile etch products, and the sputter desorption of the etch products from the surface. In this study, the authors report GaN etch results for a high-density inductively coupled plasma (ICP) as a function of BCl{sub 3}:Cl{sub 2} flow ratio, dc-bias, chamber-pressure, and ICP source power. GaN etch rates ranging from {approximately}100 {angstrom}/min to > 8,000 {angstrom}/min were obtained with smooth etch morphology and anisotropic profiles.
Recent progress in the development of dry and wet etching techniques, implant doping and isolation, thermal processing, gate insulator technology and high reliability contacts is reviewed. Etch selectivities up to 10 for InN over AlN are possible in Inductively Coupled Plasmas using a Cl2/Ar chemistry, but in general selectivities for each binary nitride relative to each other are low ({lt} OR = 2) BECAUSE OF THE HIGH ION ENERGIES NEEDED TO INITIATE ETCHING. IMPROVED N-TYPE OHMIC CONTACT RESISTANCES ARE OBTAINED BY SELECTIVE AREA SI+ IMPLANTATION FOLLOWED BY VERY HIGH TEMPERATURE ({gt}1300 deg C) anneals in which the thermal budget is minimized and AlN encapsulation prevents GaN surface decomposition. Implant isolation is effective in GaN, AlGaN and AlInN, but marginal in InGaN. Candidate gate insulators for GaN include AlN, AlON and Ga(Gd)O(x), but interface state densities are still to high to realize state-of-the-art MIS devices.
We have sputter-deposited 500-1200 Å thick WSi0.45 metallization onto n+ GaN (n≥1019 cm-3) doped either during MOCVD growth or by direct Si+ ion implantation (5×1015 cm-2, 100 keV) activated by RTA at 1100°C for 30 secs. In the epi samples Rc values of ∼10-14 ω cm2 were obtained, and were stable to ∼1000°C. The annealing treatments up to 600°C had little effect on the WSix/GaN interface, but the beta/-W2N phase formed between 700-800°C, concomitant with a strong reduction (approximately a factor of 2) in near-surface crystalline defects in the GaN. Spiking of the metallization down the threading and misfit dislocations was observed at 800°C, extending >5000 Å in some cases. This can create junction shorting in bipolar or thyristor devices, Rc values of <10-6 ωcm2 were obtained on the implanted samples for 950°C annealing, with values of after 1050°C anneals. The lower Rc values compared to epi samples appear to be a result of the higher peak doping achieved, ∼5×1020 cm-3. We observed wide spreads in Rc values over a wafer surface, with the values on 950°C annealed material ranging from 10-7 to 10-4 ω cm2. There appear to be highly nonuniform doping regions in the GaN, perhaps associated with the high defect density (1010 cm-2) in heteroepitaxial material, and this may contribute to the variations observed. We also believe that near-surface stoichiometry is variable in much of the GaN currently produced due to the relative ease of preferential N2 loss and the common use of HT containing growth (and cool-down) ambients. Finally the ohmic contact behavior of WSix on abrupt and graded composition InxAl1-xN layers has been studied as a function of growth temperature, InN mole fraction x=0.5-1) and post WSix deposition annealing treatment. Rc values in the range 10-3/-10sup-5/ ω cm2 are obtained for auto-doped n+ alloys, with the n-type background being little affected by growth conditions (n∼1020 cm-3). InN is the least temperature-stable alloy (les/700°C), and WSix contact morphology is found to depend strongly on the epi growth conditions.
Mass spectrometry of the plasma effluent during Reactive Ion Beam Etching (RIBE) of GaAs using an Inductively Coupled Plasma (ICP) source and a Cl{sub 2}/Ar gas chemistry shows that AsCl{sub 3}, AsCl{sub 2} and AsCl are all detected as etch products for As, while GaCl{sub 2} is the main signal detected for the Ga products. The variation in selective ion currents for the various etch products has been examined as a function of chuck temperature (30--100 C), percentage Cl{sub 2} in the gas flow, beam current (60--180 mA) and beam voltage (200--800 V). The results are consistent with AsCl{sub 3} and GaCl{sub 3} being the main etch product species under their conditions, with fragmentation being responsible for the observed mass spectra.
The temperature dependence of the specific contact resistance of W and WSi{sub 0.44} contacts on n{sup +} In{sub 0.65}Ga{sub 0.35}N and InN was measured in the range -50 {degrees}C to 125 {degrees}C. The results were compared to theoretical values for different conduction mechanisms, to further elucidate the conduction mechanism in these contact schemes for all but as-deposited metal to InN where thermionic emission appears to be the dominant mechanism. The contacts were found to produce low specific resistance ohmic contacts to InGaN at room temperature, e{sup c} {approximately} 10{sup -7} {Omega} {center_dot} cm{sup 2} for W and e{sub c} of 4x 10{sup -7} {Omega} {center_dot} cm{sup 2} for WSi{sub x}. InN metallized with W produced ohmic contacts with e{sub c} {approximately} 10{sup -7} {Omega} {center_dot} cm{sup 2} and e{sub c} {approximately} 10{sup -6} {Omega} {center_dot} cm{sup 2} for WSi{sub x} at room temperature.
The wide gap materials SiC, GaN and to a lesser extent diamond are attracting great interest for high power/high temperature electronics. There are a host of device processing challenges presented by these materials because of their physical and chemical stability, including difficulty in achieving stable, low contact resistances, especially for one conductivity type, absence of convenient wet etch recipes, generally slow dry etch rates, the high temperatures needed for implant activation, control of suitable gate dielectrics and the lack of cheap, large diameter conducting and semi-insulating substrates. The relatively deep ionization levels of some of the common dopants (Mg, in GaN; B, Al in SiC; P in diamond) means that carrier densities may be low at room temperature even if the impurity is electrically active - this problem will be reduced at elevated temperature, and thus contact resistances will be greatly improved provided the metallization is stable and reliable. Some recent work with CoSi{sub x} on SiC and W-alloys on GaN show promise for improved ohmic contacts. The issue of unintentional hydrogen passivation of dopants will also be covered - this leads to strong increases in resistivity of p-SiC and GaN, but to large decreases in resistivity of diamond. Recent work on development of wet etches has found recipes for AlN (KOH), while photochemical etching of SiC and GaN has been reported. In the latter cases p-type materials is not etched, which can be a major liability in some devices. The dry etch results obtained with various novel reactors, including ICP, ECR and LE4 will be compared - the high ion densities in the former techniques produce the highest etch rates for strongly-bonded materials, but can lead to preferential loss of N from the nitrides and therefore to a highly conducting surface. This is potentially a major problem for fabrication of dry etched, recessed gate FET structures.
Inductively coupled plasma etching of GaN, AlN, InN, InGaN and InAlN was investigated in CH{sub 4}/H{sub 2}/Ar plasmas as a function of dc bias, and ICP power. The etch rates were generally quite low, as is common for III-nitrides in CH{sub 4} based chemistries. The etch rates increased with increasing dc bias. At low rf power (150 W), the etch rates increased with increasing ICP power, while at 350 W rf power, a peak was found between 500 and 750 W ICP power. The etched surfaces were found to be smooth, while selectivities of etch were {le} 6 for InN over GaN, AlN, InGaN and InAlN under all conditions.
The wide gap materials SiC, GaN and to a lesser extent diamond are attracting great interest for high power/high temperature electronics. There are a host of device processing challenges presented by these materials because of their physical and chemical stability, including difficulty in achieving stable, low contact resistances, especially for one conductivity type, absence of convenient wet etch recipes, generally slow dry etch rates, the high temperatures needed for implant activation, control of suitable gate dielectrics and the lack of cheap, large diameter conducting and semi-insulating substrates. The relatively deep ionization levels of some of the common dopants (Mg in GaN; B, Al in SiC; P in diamond) means that carrier densities may be low at room temperature, and thus contact resistances will be greatly improved provided the metallization is stable and reliable. Some recent work with CoSi{sub x} on SiC and W-alloys on GaN show promise for improved ohmic contacts. The issue of unintentional hydrogen passivation of dopants will also be covered - this leads to strong increases in resistivity of p-SiC and GaN, but to large decreases in resistivity of diamond. Recent work on development of wet etches has found recipes for AlN (KOH), while photochemical etching of SiC and GaN has been reported. In the latter cases p-type materials is not etched, which can be a major liability in some devices. The dry etch results obtained with various novel reactors, including ICP, ECR and LE4 will be compared - the high ion densities in the former techniques produce the highest etch rates for strongly-bonded materials, but can lead to preferential loss of N from the nitrides and therefore to a highly conducting surface. This is potentially a major problem for fabrication of dry etched, recessed gate FET structures.
The wide band gap group-III nitride materials continue to generate interest in the semiconductor community with the fabrication of green, blue, and ultraviolet light emitting diodes (LEDs), blue lasers, and high temperature transistors. Realization of more advanced devices requires pattern transfer processes which are well controlled, smooth, highly anisotropic and have etch rates exceeding 0.5 μm/min. The utilization of high-density chlorine-based plasmas including electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) systems has resulted in improved etch quality of the group-III nitrides over more conventional reactive ion etch (RIE) systems.
High-density plasma etching has been an effective patterning technique for the group-III nitrides due to ion fluxes which are 2 to 4 orders of magnitude higher than more conventional reactive ion etch (RIE) systems. GaN etch rates exceeding 0.68 μm/min have been reported in Cl2/H2/Ar inductively coupled plasmas (ICP) at -280 V dc-bias. Under these conditions, the etch mechanism is dominated by ion bombardment energies which can induce damage and minimize etch selectivity. High selectivity etch processes are often necessary for heterostructure devices which are becoming more prominent as growth techniques improve. In this study, we will report high-density ICP etch rates and selectivities for GaN, AlN, and InN as a function of cathode power, ICP-source power, and chamber pressure. GaN:AlN selectivities >8:1 were observed in a Cl2/Ar plasma at 10 m Torr pressure, 500 W ICP-source power, and 130 W cathode rf-power, while the GaN:InN selectivity was optimized at approximately 6.5:1 at 5 m Torr, 500 W ICP-source power, and 130 W cathode rf-power.
Plasma-induced-damage often degrades the electrical and optical properties of compound semiconductor devices. Despite the fact that the binding energy of GaN is larger than that for more conventional III--V compounds, etch damage is still a concern. Photoluminescence measurements and atomic force microscopy have been used to determine the damage induced in GaN by exposure to both electron cyclotron resonance (ECR) and inductively coupled plasmas (ICP) generated Ar plasmas.
Deep etching of GaAs is a critical process step required for many device applications including fabrication of through-substrate via holes for monolithic microwave integrated circuits (MMICs). Use of high-density plasmas, including inductively coupled plasmas (ICP), offers an alternative approach to etching vias as compared to more conventional parallel plate reactive ion etch systems. This paper reports ICP etching of GaAs vias at etch rates of about 5.3 {mu}m/min with via profiles ranging from highly anistropic to conical.
Inductively Coupled Plasma (ICP) sources are extremely promising for large-area, high-ion density etching or deposition processes. In this review the authors compare results for GaAs and GaN etching with both ICP and Electron Cyclotron Resonance (ECR) sources on the same single-wafer platform. The ICP is shown to be capable of very high rates with excellent anisotropy for fabrication of GaAs vias or deep mesas in GaAs or GaN waveguide structures.
The group III-nitrides continue to generate interest due to their wide band gaps and high dielectric constants. These materials have made significant impact on the compound semiconductor community as blue and ultraviolet light emitting diodes (LEDs). Realization of more advanced devices; including lasers and high temperature electronics, requires dry etch processes which are well controlled, smooth, highly anisotropic and have etch rates exceeding 0.5 {mu}m/min. In this paper, we compare electron cyclotron resonance (ECR), inductively coupled plasma (ICP), and reactive ion etch (RIE) etch results for GaN. These are the first ICP etch results reported for GaN. We also report ECR etch rates for GaN as a function of growth technique.
W, WSi{sub 0.44} and Ti/Al contacts were examined on n{sup +} In{sub 0.65}Ga{sub 0.35}N, InN and In{sub 0.75}Al{sub 0.25}N. W was found to produce low specific contact resistance ({rho}{sub c} {approximately} 10{sup {minus}7} {Omega} {center_dot}cm{sup 2}) ohmic contacts to InGaN, with significant reaction between metal and semiconductor at 900 {degrees}C mainly due to out diffusion of In and N. WSi{sub x} showed an as-deposited {rho}{sub c} of 4{times}10{sup {minus}7} {Omega} {center_dot}cm{sup 2} but this degraded significantly with subsequent annealing. Ti/Al contacts were stable to {approximately} 600 {degrees}C ({rho}{sub c} {approximately} 4{times}10{sup {minus}7} {Omega} {center_dot}cm{sup 2} at {le}600 {degrees}C). The surfaces of these contacts remain smooth to 800 {degrees}C for W and WSi{sub x} and 650 {degrees}C for Ti/Al. InN contacted with W and Ti/Al produced ohmic contacts with {rho}{sub c} {approximately} 10{sup {minus}7} {Omega} {center_dot}cm{sup 2} and for WSi{sub x} {rho}{sub c} {approximately} 10{sup {minus}6} {Omega} {center_dot}cm{sup 2}. All remained smooth to {approximately} 600 {degrees}C, but exhibited significant interdiffusion of In, N, W and Ti respectively at higher temperatures. The contact resistances for all three metalization schemes were {ge} 10{sup {minus}4} {Omega} {center_dot}cm{sup 2} on InAlN, and degrades with subsequent annealing. The Ti/Al was found to react with the InAlN above 400 {degrees}C, causing the contact resistance to increase rapidly. W and WSi{sub x} proved to be more stable with {rho}{sub c} {approximately} 10{sup {minus}2} and 10{sup {minus}3} {Omega} {center_dot}cm{sup 2} up to 650 {degrees}C and 700 {degrees}C respectively.
The electrical properties of the light ion impurities H, O and C in GaN have been examined in both as-grown and implanted material. H is found to efficiently passivate acceptors such as Mg, Ca and C. Reactivation occurs at {ge} 450 C and is enhanced by minority carrier injection. The hydrogen does not leave the GaN crystal until > 800 C, and its diffusivity is relatively high ({approximately} 10{sup {minus}11} cm{sup 2}/s) even at low temperatures (< 200 C) during injection by wet etching, boiling in water or plasma exposure. Oxygen shows a low donor activation efficiency when implanted into GaN, with an ionization level of 30--40 meV. It is essentially immobile up to 1,100 C. Carbon can produce low p-type levels (3 {times} 10{sup 17} cm{sup {minus}3}) in GaN during MOMBE, although there is some evidence it may also create n-type conduction in other nitrides.
Etch rates up to 7,000 {angstrom}/min. for GaN are obtained in Cl{sub 2}/H{sub 2}/Ar or BCl{sub 3}/Ar ECR discharges at 1--3mTorr and moderate dc biases. Typical rates with HI/H{sub 2} are about a factor of three lower under the same conditions, while CH{sub 4}/H{sub 2} produces maximum rates of only {approximately}2,000 {angstrom}/min. The role of additives such as SF{sub 6}, N{sub 2}, H{sub 2} or Ar to the basic chlorine, bromine, iodine or methane-hydrogen plasma chemistries are discussed. Their effect can be either chemical (in forming volatile products with N) or physical (in breaking bonds or enhancing desorption of the etch products). The nitrides differ from conventional III-V`s in that bond-breaking to allow formation of the etch products is a critical factor. Threshold ion energies for the onset of etching of GaN, InGaN and InAlN are {ge} 75 eV.
Etch rates up to 7000{angstrom}/min for InP and 3500{angstrom}/min for GaAs are obtained for high microwave power (1000W) CH{sub 4}/H{sub 2}/Ar Electron Cyclotron Resonance plasma etching. Preferential loss of the group V element leads to nonstoichiometric, unacceptably rough surfaces on In-based binary semiconductors at microwave powers {ge}400W, regardless of plasma composition. Both Ga- and Al-based materials retain smooth, stoichiometric surfaces even at I000W, but the rates are still much slower than for C1{sub 2} plasma chemistries. The results suggest that CH{sub 4}/H{sub 2} plasmas are not well suited to ECR systems operating at high powers.
Electron cyclotron resonance (ECR) etching of GaP, GaAs, InP, and InGaAs are reported as a function of percent chlorine-containing gas for Cl2/Ar, Cl2/N2, BCl3/Ar, and BCl3/N2 plasma chemistries. GaAs and GaP etch rates were faster than InP and InGaAs, independent of plasma chemistry due to the low volatility of the InClx etch products. GaAs and GaP etch rates increased as %Cl2 was increased for Cl2/Ar and Cl2/N2 plasmas. The GaAs and GaP etch rates were much slower in BCl3-based plasmas due to lower concentrations of reactive Cl, however enhanced etch rates were observed in BCl3/N2 at 75% BCl3. Smooth etched surfaces were obtained over a wide range of plasma chemistries.
Electron cyclotron resonance (ECR) etching of GaN in Cl{sub 2}/H{sub 2}/Ar, C1{sub 2}/SF{sub 6}/Ar, BCl{sub 3}/H{sub 2}/Ar and BCl{sub 3}/SF{sub 6}/Ar plasmas is reported as a function of percent H{sub 2} and SF{sub 6}. GaN etch rates were found to be 2 to 3 times greater in Cl{sub 2}/H{sub 2}/Ar discharges than in BCl{sub 3}/H{sub 2}/Ar discharges independent of the H{sub 2} concentration. In both discharges, the etch rates decreased as the H{sub 2} concentration increased above 10%. When SF{sub 6} was substituted for H{sub 2}, the GaN etch rates in BCl{sub 3}-based plasmas were greater than those for the Cl{sub 2}-based discharges as the SF{sub 6} concentration increased. GaN etch rates were greater in Cl{sub 2}/H{sub 2}/Ar discharges as compared to Cl{sub 2}SF{sub 6}/Ar discharges whereas the opposite trend was observed for BCl{sub 3}-based discharges. Variations in surface morphology and near-surface stoichiometry due to plasma chemistries were also investigated using atomic force microscopy and Auger spectroscopy, respectively.
The light ion impurities C, 0 and H have been implanted or diffused into GaN and related compounds and their effect on the electrical properties of these materials measured by Hall, C-V and SIMS as a function of annealing temperatures from 300--11OO{degree}C. While C in as-grown GaN appears to create an acceptor under MOMBE conditions, implanted C shows no measurable activity. Similarly, implanted 0 does not show any shallow donor activity after annealing at {le}700{degree}C, but can create high resistivity regions (10{sup 6} {Omega}/{open_square}) in GaN, AlInN and InGaN for device isolation when annealed at 500--70O{degree}C. Finally, hydrogen is found to passivate shallow donor and acceptor states in GaN, InN. InAlN and InGaN, with dissociation of the neutral complexes at >450{degree}C. The liberated hydrogen does not leave the nitride films until much higher annealing temperatures (>800{degree}C). Typical reactivation energies are {approximately}2.0 eV for impurity-hydrogen complexes.
Hydrogen is readily incorporated into GaN and related alloys during wet and dry etching, chemical vapor deposition of dielectric overlayers, boiling in water and other process steps, in addition to its effects during MOCVD or MOMBE growth. The hydrogen is bound at defects or impurities and passivates their electrical activity. Reactivation occurs at 450-550{degrees}C, but evolution from the crystal requires much higher temperatures ({ge} 800{degrees}C).
Due to their wide band gaps and high dielectric constants, the group III-nitrides have made significant impact on the compound semiconductor community as blue and ultraviolet light emitting diodes (LEDs) and for their potential use in laser structures and high temperature electronics. Processing of these materials, in particular wet and dry etching, has proven to be extremely difficult due to their inert chemical nature. We report electron cyclotron resonance (ECR) etch rates for GaN, InN, AlN, In{sub (x)}Ga{sub (1-x)}Ni and In{sub (x)}Al{sub (1-x)}N as a function of temperature, rf-power, pressure, and microwave power. Etch conditions are characterized for rate, profile, and sidewall and surface morphology. Atomic force microscopy (AFM) is used to quantify RMS roughness of the etched surfaces. We observe consistent trends for the InAlN films where the etch rates increase with increasing concentration of In. The trends are far less consistent for the InGaN with a general decrease in etch rate as the In concentration is increased.
New developments in dry and wet etching, ohmic contacts and epitaxial growth of Ill-V nitrides are reported. These make possible devices such as microdisk laser structures and GaAs/AlGaAs heterojunction bipolar transistors with improved InN ohmic contacts.
Hydrogen is found to readily diffuse into InGaN, InAlN and InGaAlN epitaxial layers during plasma exposures at 170-250{degree}C for 40 sec-30 min. The diffusivity of hydrogen is > 10{sup -11} cm{sup 2} {center_dot} s{sup -1} at 170{degree}C, and the native donor species are passivated by association with the hydrogen. Reactivation of these species occurs at 450-500{degree}C, but the hydrogen remains in the material until {ge} 800{degree}C.