Publications

22 Results
Skip to search filters

Modeling and Assessment of Atomic Precision Advanced Manufacturing (APAM) Enabled Vertical Tunneling Field Effect Transistor

International Conference on Simulation of Semiconductor Processes and Devices, SISPAD

Gao, Xujiao G.; Mendez Granado, Juan P.; Lu, Tzu-Ming L.; Anderson, Evan M.; Campbell, DeAnna M.; Ivie, Jeffrey A.; Schmucker, Scott W.; Grine, Albert D.; Lu, Ping L.; Tracy, Lisa A.; Arghavani, Reza A.; Misra, Shashank M.

The atomic precision advanced manufacturing (APAM) enabled vertical tunneling field effect transistor (TFET) presents a new opportunity in microelectronics thanks to the use of ultra-high doping and atomically abrupt doping profiles. We present modeling and assessment of the APAM TFET using TCAD Charon simulation. First, we show, through a combination of simulation and experiment, that we can achieve good control of the gated channel on top of a phosphorus layer made using APAM, an essential part of the APAM TFET. Then, we present simulation results of a preliminary APAM TFET that predict transistor-like current-voltage response despite low device performance caused by using large geometry dimensions. Future device simulations will be needed to optimize geometry and doping to guide device design for achieving superior device performance.

More Details

FAIR DEAL Grand Challenge Overview

Allemang, Christopher R.; Anderson, Evan M.; Baczewski, Andrew D.; Bussmann, Ezra B.; Butera, Robert E.; Campbell, DeAnna M.; Campbell, Quinn C.; Carr, Stephen M.; Frederick, Esther F.; Gamache, Phillip G.; Gao, Xujiao G.; Grine, Albert D.; Gunter, Mathew M.; Halsey, Connor H.; Ivie, Jeffrey A.; Katzenmeyer, Aaron M.; Leenheer, Andrew J.; Lepkowski, William L.; Lu, Tzu-Ming L.; Mamaluy, Denis M.; Mendez Granado, Juan P.; Pena, Luis F.; Schmucker, Scott W.; Scrymgeour, David S.; Tracy, Lisa A.; Wang, George T.; Ward, Dan W.; Young, Steve M.

While it is likely practically a bad idea to shrink a transistor to the size of an atom, there is no arguing that it would be fantastic to have atomic-scale control over every aspect of a transistor – a kind of crystal ball to understand and evaluate new ideas. This project showed that it was possible to take a niche technique used to place dopants in silicon with atomic precision and apply it broadly to study opportunities and limitations in microelectronics. In addition, it laid the foundation to attaining atomic-scale control in semiconductor manufacturing more broadly.

More Details

A Model for Atomic Precision p-Type Doping with Diborane on Si(100)-2×1

Journal of Physical Chemistry C

Campbell, Quinn C.; Ivie, Jeffrey A.; Bussmann, Ezra B.; Schmucker, Scott W.; Baczewski, Andrew D.; Misra, Shashank M.

Diborane (B2H6) is a promising molecular precursor for atomic precision p-type doping of silicon that has recently been experimentally demonstrated [ Škereň et al. Nat. Electron. 2020 ]. We use density functional theory (DFT) calculations to determine the reaction pathway for diborane dissociating into a species that will incorporate as electrically active substitutional boron after adsorbing onto the Si(100)-2×1 surface. Our calculations indicate that diborane must overcome an energy barrier to adsorb, explaining the experimentally observed low sticking coefficient (<1 × 10-4 at room temperature) and suggesting that heating can be used to increase the adsorption rate. Upon sticking, diborane has an ≈50% chance of splitting into two BH3 fragments versus merely losing hydrogen to form a dimer such as B2H4. As boron dimers are likely electrically inactive, whether this latter reaction occurs is shown to be predictive of the incorporation rate. The dissociation process proceeds with significant energy barriers, necessitating the use of high temperatures for incorporation. Using the barriers calculated from DFT, we parameterize a Kinetic Monte Carlo model that predicts the incorporation statistics of boron as a function of the initial depassivation geometry, dose, and anneal temperature. Our results suggest that the dimer nature of diborane inherently limits its doping density as an acceptor precursor and furthermore that heating the boron dimers to split before exposure to silicon can lead to poor selectivity on hydrogen and halogen resists. This suggests that, while diborane works as an atomic precision acceptor precursor, other non-dimerized acceptor precursors may lead to higher incorporation rates at lower temperatures.

More Details

Photothermal alternative to device fabrication using atomic precision advanced manufacturing techniques

Journal of Micro/Nanopatterning, Materials and Metrology

Katzenmeyer, Aaron M.; Dmitrovic, Sanja; Baczewski, Andrew D.; Campbell, Quinn C.; Bussmann, Ezra B.; Lu, Tzu-Ming L.; Anderson, Evan M.; Schmucker, Scott W.; Ivie, Jeffrey A.; Campbell, DeAnna M.; Ward, Daniel R.; Scrymgeour, David S.; Wang, George T.; Misra, Shashank M.

The attachment of dopant precursor molecules to depassivated areas of hydrogen-terminated silicon templated with a scanning tunneling microscope (STM) has been used to create electronic devices with subnanometer precision, typically for quantum physics experiments. This process, which we call atomic precision advanced manufacturing (APAM), dopes silicon beyond the solid-solubility limit and produces electrical and optical characteristics that may also be useful for microelectronic and plasmonic applications. However, scanned probe lithography lacks the throughput required to develop more sophisticated applications. Here, we demonstrate and characterize an APAM device workflow where scanned probe lithography of the atomic layer resist has been replaced by photolithography. An ultraviolet laser is shown to locally and controllably heat silicon above the temperature required for hydrogen depassivation on a nanosecond timescale, a process resistant to under- and overexposure. STM images indicate a narrow range of energy density where the surface is both depassivated and undamaged. Modeling that accounts for photothermal heating and the subsequent hydrogen desorption kinetics suggests that the silicon surface temperatures reached in our patterning process exceed those required for hydrogen removal in temperature-programmed desorption experiments. A phosphorus-doped van der Pauw structure made by sequentially photodepassivating a predefined area and then exposing it to phosphine is found to have a similar mobility and higher carrier density compared with devices patterned by STM. Lastly, it is also demonstrated that photodepassivation and precursor exposure steps may be performed concomitantly, a potential route to enabling APAM outside of ultrahigh vacuum.

More Details

Modeling assisted room temperature operation of atomic precision advanced manufacturing devices

International Conference on Simulation of Semiconductor Processes and Devices, SISPAD

Gao, Xujiao G.; Tracy, Lisa A.; Anderson, Evan M.; Campbell, DeAnna M.; Ivie, Jeffrey A.; Lu, Tzu-Ming L.; Mamaluy, Denis M.; Schmucker, Scott W.; Misra, Shashank M.

One big challenge of the emerging atomic precision advanced manufacturing (APAM) technology for microelectronics application is to realize APAM devices that operate at room temperature (RT). We demonstrate that semiclassical technology computer aided design (TCAD) device simulation tool can be employed to understand current leakage and improve APAM device design for RT operation. To establish the applicability of semiclassical simulation, we first show that a semiclassical impurity scattering model with the Fermi-Dirac statistics can explain the very low mobility in APAM devices quite well; we also show semiclassical TCAD reproduces measured sheet resistances when proper mobility values are used. We then apply semiclassical TCAD to simulate current leakage in realistic APAM wires. With insights from modeling, we were able to improve device design, fabricate Hall bars, and demonstrate RT operation for the very first time.

More Details

Low thermal budget high-k/metal surface gate for buried donor-based devices

JPhys Materials

Anderson, Evan M.; Campbell, De A.; Maurer, Leon N.; Baczewski, Andrew D.; Marshall, Michael T.; Lu, Tzu-Ming L.; Lu, Ping L.; Tracy, Lisa A.; Schmucker, Scott W.; Ward, Daniel R.; Misra, Shashank M.

Atomic precision advanced manufacturing (APAM) offers creation of donor devices in an atomically thin layer doped beyond the solid solubility limit, enabling unique device physics. This presents an opportunity to use APAM as a pathfinding platform to investigate digital electronics at the atomic limit. Scaling to smaller transistors is increasingly difficult and expensive, necessitating the investigation of alternative fabrication paths that extend to the atomic scale. APAM donor devices can be created using a scanning tunneling microscope (STM). However, these devices are not currently compatible with industry standard fabrication processes. There exists a tradeoff between low thermal budget (LT) processes to limit dopant diffusion and high thermal budget (HT) processes to grow defect-free layers of epitaxial Si and gate oxide. To this end, we have developed an LT epitaxial Si cap and LT deposited Al2O3 gate oxide integrated with an atomically precise single-electron transistor (SET) that we use as an electrometer to characterize the quality of the gate stack. The surface-gated SET exhibits the expected Coulomb blockade behavior. However, the gate’s leverage over the SET is limited by defects in the layers above the SET, including interfaces between the Si and oxide, and structural and chemical defects in the Si cap. We propose a more sophisticated gate stack and process flow that is predicted to improve performance in future atomic precision devices.

More Details

Designer quantum materials

Misra, Shashank M.; Ward, Daniel R.; Baczewski, Andrew D.; Campbell, Quinn C.; Schmucker, Scott W.; Mounce, Andrew M.; Tracy, Lisa A.; Lu, Tzu-Ming L.; Marshall, Michael T.; Campbell, DeAnna M.

Quantum materials have long promised to revolutionize everything from energy transmission (high temperature superconductors) to both quantum and classical information systems (topological materials). However, their discovery and application has proceeded in an Edisonian fashion due to both an incomplete theoretical understanding and the difficulty of growing and purifying new materials. This project leverages Sandia's unique atomic precision advanced manufacturing (APAM) capability to design small-scale tunable arrays (designer materials) made of donors in silicon. Their low-energy electronic behavior can mimic quantum materials, and can be tuned by changing the fabrication parameters for the array, thereby enabling the discovery of materials systems which can't yet be synthesized. In this report, we detail three key advances we have made towards development of designer quantum materials. First are advances both in APAM technique and underlying mechanisms required to realize high-yielding donor arrays. Second is the first-ever observation of distinct phases in this material system, manifest in disordered 2D sheets of donors. Finally are advances in modeling the electronic structure of donor clusters and regular structures incorporating them, critical to understanding whether an array is expected to show interesting physics. Combined, these establish the baseline knowledge required to manifest the strongly-correlated phases of the Mott-Hubbard model in donor arrays, the first step to deploying APAM donor arrays as analogues of quantum materials.

More Details
22 Results
22 Results