Publications

18 Results
Skip to search filters

Modeling and Assessment of Atomic Precision Advanced Manufacturing (APAM) Enabled Vertical Tunneling Field Effect Transistor

International Conference on Simulation of Semiconductor Processes and Devices, SISPAD

Gao, Xujiao G.; Mendez Granado, Juan P.; Lu, Tzu-Ming L.; Anderson, Evan M.; Campbell, DeAnna M.; Ivie, Jeffrey A.; Schmucker, Scott W.; Grine, Albert D.; Lu, Ping L.; Tracy, Lisa A.; Arghavani, Reza A.; Misra, Shashank M.

The atomic precision advanced manufacturing (APAM) enabled vertical tunneling field effect transistor (TFET) presents a new opportunity in microelectronics thanks to the use of ultra-high doping and atomically abrupt doping profiles. We present modeling and assessment of the APAM TFET using TCAD Charon simulation. First, we show, through a combination of simulation and experiment, that we can achieve good control of the gated channel on top of a phosphorus layer made using APAM, an essential part of the APAM TFET. Then, we present simulation results of a preliminary APAM TFET that predict transistor-like current-voltage response despite low device performance caused by using large geometry dimensions. Future device simulations will be needed to optimize geometry and doping to guide device design for achieving superior device performance.

More Details

Ultradoping Boron on Si(100) via Solvothermal Chemistry**

Chemistry - A European Journal

Frederick, Esther F.; Campbell, Quinn C.; Kolesnichenko, Igor K.; Pena, Luis F.; Benavidez, Angelica; Anderson, Evan M.; Wheeler, David R.; Misra, Shashank M.

Ultradoping introduces unprecedented dopant levels into Si, which transforms its electronic behavior and enables its use as a next-generation electronic material. Commercialization of ultradoping is currently limited by gas-phase ultra-high vacuum requirements. Solvothermal chemistry is amenable to scale-up. However, an integral part of ultradoping is a direct chemical bond between dopants and Si, and solvothermal dopant-Si surface reactions are not well-developed. This work provides the first quantified demonstration of achieving ultradoping concentrations of boron (∼1e14 cm2) by using a solvothermal process. Surface characterizations indicate the catalyst cross-reacted, which led to multiple surface products and caused ambiguity in experimental confirmation of direct surface attachment. Density functional theory computations elucidate that the reaction results in direct B−Si surface bonds. This proof-of-principle work lays groundwork for emerging solvothermal ultradoping processes.

More Details

FAIR DEAL Grand Challenge Overview

Allemang, Christopher R.; Anderson, Evan M.; Baczewski, Andrew D.; Bussmann, Ezra B.; Butera, Robert E.; Campbell, DeAnna M.; Campbell, Quinn C.; Carr, Stephen M.; Frederick, Esther F.; Gamache, Phillip G.; Gao, Xujiao G.; Grine, Albert D.; Gunter, Mathew M.; Halsey, Connor H.; Ivie, Jeffrey A.; Katzenmeyer, Aaron M.; Leenheer, Andrew J.; Lepkowski, William L.; Lu, Tzu-Ming L.; Mamaluy, Denis M.; Mendez Granado, Juan P.; Pena, Luis F.; Schmucker, Scott W.; Scrymgeour, David S.; Tracy, Lisa A.; Wang, George T.; Ward, Dan W.; Young, Steve M.

While it is likely practically a bad idea to shrink a transistor to the size of an atom, there is no arguing that it would be fantastic to have atomic-scale control over every aspect of a transistor – a kind of crystal ball to understand and evaluate new ideas. This project showed that it was possible to take a niche technique used to place dopants in silicon with atomic precision and apply it broadly to study opportunities and limitations in microelectronics. In addition, it laid the foundation to attaining atomic-scale control in semiconductor manufacturing more broadly.

More Details

Modeling assisted room temperature operation of atomic precision advanced manufacturing devices

International Conference on Simulation of Semiconductor Processes and Devices, SISPAD

Gao, Xujiao G.; Tracy, Lisa A.; Anderson, Evan M.; Campbell, DeAnna M.; Ivie, Jeffrey A.; Lu, Tzu-Ming L.; Mamaluy, Denis M.; Schmucker, Scott W.; Misra, Shashank M.

One big challenge of the emerging atomic precision advanced manufacturing (APAM) technology for microelectronics application is to realize APAM devices that operate at room temperature (RT). We demonstrate that semiclassical technology computer aided design (TCAD) device simulation tool can be employed to understand current leakage and improve APAM device design for RT operation. To establish the applicability of semiclassical simulation, we first show that a semiclassical impurity scattering model with the Fermi-Dirac statistics can explain the very low mobility in APAM devices quite well; we also show semiclassical TCAD reproduces measured sheet resistances when proper mobility values are used. We then apply semiclassical TCAD to simulate current leakage in realistic APAM wires. With insights from modeling, we were able to improve device design, fabricate Hall bars, and demonstrate RT operation for the very first time.

More Details

Assessing atomically thin delta-doping of silicon using mid-infrared ellipsometry

Journal of Materials Research

Katzenmeyer, Aaron M.; Luk, Ting S.; Bussmann, Ezra B.; Young, Steve M.; Anderson, Evan M.; Marshall, Michael T.; Ohlhausen, J.A.; Kotula, Paul G.; Lu, Ping L.; Campbell, DeAnna M.; Lu, Tzu-Ming L.; Liu, Peter Q.; Ward, Daniel R.; Misra, Shashank M.

Hydrogen lithography has been used to template phosphine-based surface chemistry to fabricate atomic-scale devices, a process we abbreviate as atomic precision advanced manufacturing (APAM). Here, we use mid-infrared variable angle spectroscopic ellipsometry (IR-VASE) to characterize single-nanometer thickness phosphorus dopant layers (δ-layers) in silicon made using APAM compatible processes. A large Drude response is directly attributable to the δ-layer and can be used for nondestructive monitoring of the condition of the APAM layer when integrating additional processing steps. The carrier density and mobility extracted from our room temperature IR-VASE measurements are consistent with cryogenic magneto-transport measurements, showing that APAM δ-layers function at room temperature. Finally, the permittivity extracted from these measurements shows that the doping in the APAM δ-layers is so large that their low-frequency in-plane response is reminiscent of a silicide. However, there is no indication of a plasma resonance, likely due to reduced dimensionality and/or low scattering lifetime.

More Details

Photothermal alternative to device fabrication using atomic precision advanced manufacturing techniques

Proceedings of SPIE - The International Society for Optical Engineering

Katzenmeyer, Aaron M.; Dmitrovic, S.; Baczewski, Andrew D.; Bussmann, Ezra B.; Lu, Tzu-Ming L.; Anderson, Evan M.; Schmucker, S.W.; Ivie, J.A.; Campbell, DeAnna M.; Ward, D.R.; Wang, George T.; Misra, Shashank M.

The attachment of dopant precursor molecules to depassivated areas of hydrogen-terminated silicon templated with a scanning tunneling microscope (STM) has been used to create electronic devices with sub-nanometer precision, typically for quantum physics demonstrations, and to dope silicon past the solid-solubility limit, with potential applications in microelectronics and plasmonics. However, this process, which we call atomic precision advanced manufacturing (APAM), currently lacks the throughput required to develop sophisticated applications because there is no proven scalable hydrogen lithography pathway. Here, we demonstrate and characterize an APAM device workflow where STM lithography has been replaced with photolithography. An ultraviolet laser is shown to locally heat silicon controllably above the temperature required for hydrogen depassivation. STM images indicate a narrow range of laser energy density where hydrogen has been depassivated, and the surface remains well-ordered. A model for photothermal heating of silicon predicts a local temperature which is consistent with atomic-scale STM images of the photo-patterned regions. Finally, a simple device made by exposing photo-depassivated silicon to phosphine is found to have a carrier density and mobility similar to that produced by similar devices patterned by STM.

More Details
18 Results
18 Results