Publications

Results 1–25 of 39
Skip to search filters

Atomic step disorder on polycrystalline surfaces leads to spatially inhomogeneous work functions

Journal of Vacuum Science and Technology A

Bussmann, Ezra B.; smith, sean w.; Scrymgeour, David S.; Brumbach, Michael T.; Lu, Ping L.; Dickens, Sara D.; Michael, Joseph R.; Ohta, Taisuke O.; Hjalmarson, Harold P.; Schultz, Peter A.; Clem, Paul G.; Hopkins, Matthew M.; Moore, Christopher M.

Structural disorder causes materials’ surface electronic properties, e.g., work function ([Formula: see text]), to vary spatially, yet it is challenging to prove exact causal relationships to underlying ensemble disorder, e.g., roughness or granularity. For polycrystalline Pt, nanoscale resolution photoemission threshold mapping reveals a spatially varying [Formula: see text] eV over a distribution of (111) vicinal grain surfaces prepared by sputter deposition and annealing. With regard to field emission and related phenomena, e.g., vacuum arc initiation, a salient feature of the [Formula: see text] distribution is that it is skewed with a long tail to values down to 5.4 eV, i.e., far below the mean, which is exponentially impactful to field emission via the Fowler–Nordheim relation. We show that the [Formula: see text] spatial variation and distribution can be explained by ensemble variations of granular tilts and surface slopes via a Smoluchowski smoothing model wherein local [Formula: see text] variations result from spatially varying densities of electric dipole moments, intrinsic to atomic steps, that locally modify [Formula: see text]. Atomic step-terrace structure is confirmed with scanning tunneling microscopy (STM) at several locations on our surfaces, and prior works showed STM evidence for atomic step dipoles at various metal surfaces. From our model, we find an atomic step edge dipole [Formula: see text] D/edge atom, which is comparable to values reported in studies that utilized other methods and materials. Our results elucidate a connection between macroscopic [Formula: see text] and the nanostructure that may contribute to the spread of reported [Formula: see text] for Pt and other surfaces and may be useful toward more complete descriptions of polycrystalline metals in the models of field emission and other related vacuum electronics phenomena, e.g., arc initiation.

More Details

Al-alkyls as acceptor dopant precursors for atomic-scale devices

Journal of Physics Condensed Matter

Owen, J.H.G.; Campbell, Quinn C.; Santini, R.; Ivie, J.A.; Baczewski, Andrew D.; Schmucker, S.W.; Bussmann, Ezra B.; Misra, Shashank M.; Randall, J.N.

Atomically precise ultradoping of silicon is possible with atomic resists, area-selective surface chemistry, and a limited set of hydride and halide precursor molecules, in a process known as atomic precision advanced manufacturing (APAM). It is desirable to expand this set of precursors to include dopants with organic functional groups and here we consider aluminium alkyls, to expand the applicability of APAM. We explore the impurity content and selectivity that results from using trimethyl aluminium and triethyl aluminium precursors on Si(001) to ultradope with aluminium through a hydrogen mask. Comparison of the methylated and ethylated precursors helps us understand the impact of hydrocarbon ligand selection on incorporation surface chemistry. Combining scanning tunneling microscopy and density functional theory calculations, we assess the limitations of both classes of precursor and extract general principles relevant to each.

More Details

FAIR DEAL Grand Challenge Overview

Allemang, Christopher R.; Anderson, Evan M.; Baczewski, Andrew D.; Bussmann, Ezra B.; Butera, Robert E.; Campbell, DeAnna M.; Campbell, Quinn C.; Carr, Stephen M.; Frederick, Esther F.; Gamache, Phillip G.; Gao, Xujiao G.; Grine, Albert D.; Gunter, Mathew M.; Halsey, Connor H.; Ivie, Jeffrey A.; Katzenmeyer, Aaron M.; Leenheer, Andrew J.; Lepkowski, William L.; Lu, Tzu-Ming L.; Mamaluy, Denis M.; Mendez Granado, Juan P.; Pena, Luis F.; Schmucker, Scott W.; Scrymgeour, David S.; Tracy, Lisa A.; Wang, George T.; Ward, Dan W.; Young, Steve M.

While it is likely practically a bad idea to shrink a transistor to the size of an atom, there is no arguing that it would be fantastic to have atomic-scale control over every aspect of a transistor – a kind of crystal ball to understand and evaluate new ideas. This project showed that it was possible to take a niche technique used to place dopants in silicon with atomic precision and apply it broadly to study opportunities and limitations in microelectronics. In addition, it laid the foundation to attaining atomic-scale control in semiconductor manufacturing more broadly.

More Details

Atomic-precision advanced manufacturing for Si quantum computing

MRS Bulletin

Bussmann, Ezra B.; Butera, Robert E.; Owen, James H.G.; Randall, John N.; Rinaldi, Steven R.; Baczewski, Andrew D.; Misra, Shashank M.

A materials synthesis method that we call atomic-precision advanced manufacturing (APAM), which is the only known route to tailor silicon nanoelectronics with full 3D atomic precision, is making an impact as a powerful prototyping tool for quantum computing. Quantum computing schemes using atomic (31P) spin qubits are compelling for future scale-up owing to long dephasing times, one- and two-qubit gates nearing high-fidelity thresholds for fault-tolerant quantum error correction, and emerging routes to manufacturing via proven Si foundry techniques. Multiqubit devices are challenging to fabricate by conventional means owing to tight interqubit pitches forced by short-range spin interactions, and APAM offers the required (Å-scale) precision to systematically investigate solutions. However, applying APAM to fabricate circuitry with increasing numbers of qubits will require significant technique development. Here, we provide a tutorial on APAM techniques and materials and highlight its impacts in quantum computing research. Finally, we describe challenges on the path to multiqubit architectures and opportunities for APAM technique development. Graphic Abstract: [Figure not available: see fulltext.]

More Details

AlCl3-Dosed Si(100)-2 × 1: Adsorbates, Chlorinated Al Chains, and Incorporated Al

Journal of Physical Chemistry C

Radue, Matthew S.; Baek, Sungha; Farzaneh, Azadeh; Dwyer, K.J.; Campbell, Quinn C.; Baczewski, Andrew D.; Bussmann, Ezra B.; Wang, George T.; Mo, Yifei; Misra, Shashank M.; Butera, R.E.

The adsorption of AlCl3 on Si(100) and the effect of annealing the AlCl3-dosed substrate were studied to reveal key surface processes for the development of atomic-precision, acceptor-doping techniques. This investigation was performed via scanning tunneling microscopy (STM), X-ray photoelectron spectroscopy (XPS), and density functional theory (DFT) calculations. At room temperature, AlCl3 readily adsorbed to the Si substrate dimers and dissociated to form a variety of species. Annealing the AlCl3-dosed substrate at temperatures below 450 °C produced unique chlorinated aluminum chains (CACs) elongated along the Si(100) dimer row direction. An atomic model for the chains is proposed with supporting DFT calculations. Al was incorporated into the Si substrate upon annealing at 450 °C and above, and Cl desorption was observed for temperatures beyond 450 °C. Al-incorporated samples were encapsulated in Si and characterized by secondary ion mass spectrometry (SIMS) depth profiling to quantify the Al atom concentration, which was found to be in excess of 1020 cm-3 across a ∼2.7 nm-thick δ-doped region. The Al concentration achieved here and the processing parameters utilized promote AlCl3 as a viable gaseous precursor for novel acceptor-doped Si materials and devices for quantum computing.

More Details

First-principles calculations of metal surfaces. II. Properties of low-index platinum surfaces toward understanding electron emission

Physical Review B

Schultz, Peter A.; Hjalmarson, Harold P.; Berg, Morgann B.; Bussmann, Ezra B.; Scrymgeour, David S.; Ohta, Taisuke O.; Moore, Christopher H.

The stability of low-index platinum surfaces and their electronic properties is investigated with density functional theory, toward the goal of understanding the surface structure and electron emission, and identifying precursors to electrical breakdown, on nonideal platinum surfaces. Propensity for electron emission can be related to a local work function, which, in turn, is intimately dependent on the local surface structure. The (1×N) missing row reconstruction of the Pt(110) surface is systematically examined. The (1×3) missing row reconstruction is found to be the lowest in energy, with the (1×2) and (1×4) slightly less stable. In the limit of large (1×N) with wider (111) nanoterraces, the energy accurately approaches the asymptotic limit of the infinite Pt(111) surface. This suggests a local energetic stability of narrow (111) nanoterraces on free Pt surfaces that could be a common structural feature in the complex surface morphologies, leading to work functions consistent with those on thermally grown Pt substrates.

More Details

A Model for Atomic Precision p-Type Doping with Diborane on Si(100)-2×1

Journal of Physical Chemistry C

Campbell, Quinn C.; Ivie, Jeffrey A.; Bussmann, Ezra B.; Schmucker, Scott W.; Baczewski, Andrew D.; Misra, Shashank M.

Diborane (B2H6) is a promising molecular precursor for atomic precision p-type doping of silicon that has recently been experimentally demonstrated [ Škereň et al. Nat. Electron. 2020 ]. We use density functional theory (DFT) calculations to determine the reaction pathway for diborane dissociating into a species that will incorporate as electrically active substitutional boron after adsorbing onto the Si(100)-2×1 surface. Our calculations indicate that diborane must overcome an energy barrier to adsorb, explaining the experimentally observed low sticking coefficient (<1 × 10-4 at room temperature) and suggesting that heating can be used to increase the adsorption rate. Upon sticking, diborane has an ≈50% chance of splitting into two BH3 fragments versus merely losing hydrogen to form a dimer such as B2H4. As boron dimers are likely electrically inactive, whether this latter reaction occurs is shown to be predictive of the incorporation rate. The dissociation process proceeds with significant energy barriers, necessitating the use of high temperatures for incorporation. Using the barriers calculated from DFT, we parameterize a Kinetic Monte Carlo model that predicts the incorporation statistics of boron as a function of the initial depassivation geometry, dose, and anneal temperature. Our results suggest that the dimer nature of diborane inherently limits its doping density as an acceptor precursor and furthermore that heating the boron dimers to split before exposure to silicon can lead to poor selectivity on hydrogen and halogen resists. This suggests that, while diborane works as an atomic precision acceptor precursor, other non-dimerized acceptor precursors may lead to higher incorporation rates at lower temperatures.

More Details

Photothermal alternative to device fabrication using atomic precision advanced manufacturing techniques

Journal of Micro/Nanopatterning, Materials and Metrology

Katzenmeyer, Aaron M.; Dmitrovic, Sanja; Baczewski, Andrew D.; Campbell, Quinn C.; Bussmann, Ezra B.; Lu, Tzu-Ming L.; Anderson, Evan M.; Schmucker, Scott W.; Ivie, Jeffrey A.; Campbell, DeAnna M.; Ward, Daniel R.; Scrymgeour, David S.; Wang, George T.; Misra, Shashank M.

The attachment of dopant precursor molecules to depassivated areas of hydrogen-terminated silicon templated with a scanning tunneling microscope (STM) has been used to create electronic devices with subnanometer precision, typically for quantum physics experiments. This process, which we call atomic precision advanced manufacturing (APAM), dopes silicon beyond the solid-solubility limit and produces electrical and optical characteristics that may also be useful for microelectronic and plasmonic applications. However, scanned probe lithography lacks the throughput required to develop more sophisticated applications. Here, we demonstrate and characterize an APAM device workflow where scanned probe lithography of the atomic layer resist has been replaced by photolithography. An ultraviolet laser is shown to locally and controllably heat silicon above the temperature required for hydrogen depassivation on a nanosecond timescale, a process resistant to under- and overexposure. STM images indicate a narrow range of energy density where the surface is both depassivated and undamaged. Modeling that accounts for photothermal heating and the subsequent hydrogen desorption kinetics suggests that the silicon surface temperatures reached in our patterning process exceed those required for hydrogen removal in temperature-programmed desorption experiments. A phosphorus-doped van der Pauw structure made by sequentially photodepassivating a predefined area and then exposing it to phosphine is found to have a similar mobility and higher carrier density compared with devices patterned by STM. Lastly, it is also demonstrated that photodepassivation and precursor exposure steps may be performed concomitantly, a potential route to enabling APAM outside of ultrahigh vacuum.

More Details

Assessing atomically thin delta-doping of silicon using mid-infrared ellipsometry

Journal of Materials Research

Katzenmeyer, Aaron M.; Luk, Ting S.; Bussmann, Ezra B.; Young, Steve M.; Anderson, Evan M.; Marshall, Michael T.; Ohlhausen, J.A.; Kotula, Paul G.; Lu, Ping L.; Campbell, DeAnna M.; Lu, Tzu-Ming L.; Liu, Peter Q.; Ward, Daniel R.; Misra, Shashank M.

Hydrogen lithography has been used to template phosphine-based surface chemistry to fabricate atomic-scale devices, a process we abbreviate as atomic precision advanced manufacturing (APAM). Here, we use mid-infrared variable angle spectroscopic ellipsometry (IR-VASE) to characterize single-nanometer thickness phosphorus dopant layers (δ-layers) in silicon made using APAM compatible processes. A large Drude response is directly attributable to the δ-layer and can be used for nondestructive monitoring of the condition of the APAM layer when integrating additional processing steps. The carrier density and mobility extracted from our room temperature IR-VASE measurements are consistent with cryogenic magneto-transport measurements, showing that APAM δ-layers function at room temperature. Finally, the permittivity extracted from these measurements shows that the doping in the APAM δ-layers is so large that their low-frequency in-plane response is reminiscent of a silicide. However, there is no indication of a plasma resonance, likely due to reduced dimensionality and/or low scattering lifetime.

More Details

Photothermal alternative to device fabrication using atomic precision advanced manufacturing techniques

Proceedings of SPIE - The International Society for Optical Engineering

Katzenmeyer, Aaron M.; Dmitrovic, S.; Baczewski, Andrew D.; Bussmann, Ezra B.; Lu, Tzu-Ming L.; Anderson, Evan M.; Schmucker, S.W.; Ivie, J.A.; Campbell, DeAnna M.; Ward, D.R.; Wang, George T.; Misra, Shashank M.

The attachment of dopant precursor molecules to depassivated areas of hydrogen-terminated silicon templated with a scanning tunneling microscope (STM) has been used to create electronic devices with sub-nanometer precision, typically for quantum physics demonstrations, and to dope silicon past the solid-solubility limit, with potential applications in microelectronics and plasmonics. However, this process, which we call atomic precision advanced manufacturing (APAM), currently lacks the throughput required to develop sophisticated applications because there is no proven scalable hydrogen lithography pathway. Here, we demonstrate and characterize an APAM device workflow where STM lithography has been replaced with photolithography. An ultraviolet laser is shown to locally heat silicon controllably above the temperature required for hydrogen depassivation. STM images indicate a narrow range of laser energy density where hydrogen has been depassivated, and the surface remains well-ordered. A model for photothermal heating of silicon predicts a local temperature which is consistent with atomic-scale STM images of the photo-patterned regions. Finally, a simple device made by exposing photo-depassivated silicon to phosphine is found to have a carrier density and mobility similar to that produced by similar devices patterned by STM.

More Details

Creation of nanoscale magnetic fields using nano-magnet arrays

AIP Advances

Sapkota, Keshab R.; Eley, S.; Bussmann, Ezra B.; Harris, C.T.; Maurer, Leon M.; Lu, Tzu-Ming L.

We present the fabrication of nano-magnet arrays, comprised of two sets of interleaving SmCo5 and Co nano-magnets, and the subsequent development and implementation of a protocol to program the array to create a one-dimensional rotating magnetic field. We designed the array based on the microstructural and magnetic properties of SmCo5 films annealed under different conditions, also presented here. Leveraging the extremely high contrast in coercivity between SmCo5 and Co, we applied a sequence of external magnetic fields to program the nano-magnet arrays into a configuration with alternating polarization, which based on simulations creates a rotating magnetic field in the vicinity of nano-magnets. Our proof-of-concept demonstration shows that complex, nanoscale magnetic fields can be synthesized through coercivity contrast of constituent magnetic materials and carefully designed sequences of programming magnetic fields.

More Details
Results 1–25 of 39
Results 1–25 of 39